Mostrar el registro sencillo del ítem

Artículo

dc.creatorTapiador Morales, Ricardoes
dc.creatorRíos Navarro, José Antonioes
dc.creatorLinares Barranco, Alejandroes
dc.creatorKim, Minkyues
dc.creatorKadetotad, Deepakes
dc.creatorSeo, Jae-Sunes
dc.date.accessioned2020-01-31T11:12:26Z
dc.date.available2020-01-31T11:12:26Z
dc.date.issued2016
dc.identifier.citationTapiador Morales, R., Ríos Navarro, J.A., Linares Barranco, A., Kim, M., Kadetotad, D. y Seo, J. (2016). Comprehensive Evaluation of OpenCL-based Convolutional Neural Network Accelerators in Xilinx and Altera FPGAs. ArXiv.org, arXiv:1609.09296v1
dc.identifier.urihttps://hdl.handle.net/11441/92656
dc.description.abstractDeep learning has significantly advanced the state of the art in artificial intelligence, gaining wide popularity from both industry and academia. Special interest is around Convolutional Neural Networks (CNN), which take inspiration from the hierarchical structure of the visual cortex, to form deep layers of convolutional operations, along with fully connected classifiers. Hardware implementations of these deep CNN architectures are challenged with memory bottlenecks that require many convolution and fully-connected layers demanding large amount of communication for parallel computation. Multi-core CPU based solutions have demonstrated their inadequacy for this problem due to the memory wall and low parallelism. Many-core GPU architectures show superior performance but they consume high power and also have memory constraints due to inconsistencies between cache and main memory. FPGA design solutions are also actively being explored, which allow implementing the memory hierarchy using embedded BlockRAM. This boosts the parallel use of shared memory elements between multiple processing units, avoiding data replicability and inconsistencies. This makes FPGAs potentially powerful solutions for real-time classification of CNNs. Both Altera and Xilinx have adopted OpenCL co-design framework from GPU for FPGA designs as a pseudo-automatic development solution. In this paper, a comprehensive evaluation and comparison of Altera and Xilinx OpenCL frameworks for a 5-layer deep CNN is presented. Hardware resources, temporal performance and the OpenCL architecture for CNNs are discussed. Xilinx demonstrates faster synthesis, better FPGA resource utilization and more compact boards. Altera provides multi-platforms tools, mature design community and better execution times.es
dc.formatapplication/pdfes
dc.language.isoenges
dc.publisherCornell Universityes
dc.relation.ispartofArXiv.org, arXiv:1609.09296v1
dc.rightsAttribution-NonCommercial-NoDerivatives 4.0 Internacional*
dc.rights.urihttp://creativecommons.org/licenses/by-nc-nd/4.0/*
dc.subjectDeep learninges
dc.subjectConvolutional Neural Networks (CNN)es
dc.subjectHardware Accelerationes
dc.subjectOpenCLes
dc.subjectFPGAes
dc.subjectCaffees
dc.subjectXilinxes
dc.subjectAlteraes
dc.titleComprehensive Evaluation of OpenCL-based Convolutional Neural Network Accelerators in Xilinx and Altera FPGAses
dc.typeinfo:eu-repo/semantics/articlees
dcterms.identifierhttps://ror.org/03yxnpp24
dc.type.versioninfo:eu-repo/semantics/publishedVersiones
dc.rights.accessRightsinfo:eu-repo/semantics/openAccesses
dc.contributor.affiliationUniversidad de Sevilla. Departamento de Arquitectura y Tecnología de Computadoreses
dc.relation.publisherversionhttps://arxiv.org/abs/1609.09296v1es
dc.contributor.groupUniversidad de Sevilla. TEP-108: Robótica y Tecnología de Computadores Aplicada a la Rehabilitaciónes
idus.format.extent6es
dc.journaltitleArXiv.orges
dc.publication.issuearXiv:1609.09296v1es

FicherosTamañoFormatoVerDescripción
Comprehensive Evaluation of ...765.9KbIcon   [PDF] Ver/Abrir  

Este registro aparece en las siguientes colecciones

Mostrar el registro sencillo del ítem

Attribution-NonCommercial-NoDerivatives 4.0 Internacional
Excepto si se señala otra cosa, la licencia del ítem se describe como: Attribution-NonCommercial-NoDerivatives 4.0 Internacional